CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - cpld verilog

搜索资源列表

  1. verilog实例 [43项]

    0下载:
  2. 嵌入式可编程器件CPLD的典型实例 压缩包,共计43个源码文件。 使用ALTERA的 Muxplus 软件即可编辑仿真 相关软件可在教育网ftp下载[天网查询,有很多站点提供]-Embedded Programmable CPLD in a typical example of compressed, for a total of 43 source document. Altera Muxplus use the software can edit simulation software av
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:181085
    • 提供者:吴旭辉
  1. 用cpld实现曼彻斯特编码

    0下载:
  2. 用cpld实现曼彻斯特编码 用verilog HDL进行曼彻斯特编码,用于通信中-cpld achieve with Manchester encoding with Verilog HDL Manchester encoding. for Communication
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4579
    • 提供者:李鹏
  1. verilog

    0下载:
  2. 好东东,大家都来看看一啊克混合后 学cpld的一定需要
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:235761
    • 提供者:LUOTAO
  1. 8051-Verilog

    0下载:
  2. 使用CPLD仿真8051核,内有源程序和说明,来之不易
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:90517
    • 提供者:梁志洪
  1. cpld-pwm

    0下载:
  2. 基于cpld的pwm控制设计 采用vhdl.verilog语言设计 对大家比较有用
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:79764
    • 提供者:emily
  1. Verilog

    0下载:
  2. Verilog教程,讲述Verilog在cpld/fpga中从设计到仿真全过程。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2479804
    • 提供者:pangyugang
  1. 并口的CPLD烧录线,通过跳线支持三大厂家的CPLD/FPGA(Altera,Xilinx,Lattice)

    2下载:
  2. 并口的CPLD烧录线,通过跳线支持三大厂家(Altera,Xilinx,Lattice)的CPLD/FPGA烧录,附有电路图与Verilog HDL文档.使用的芯片为XC9572XL-VQ64
  3. 所属分类:VHDL编程

    • 发布日期:2010-10-14
    • 文件大小:2302730
    • 提供者:mikeldm@163.com
  1. CPLD 與 61LV256 SRAM 驱动 TFT

    1下载:
  2. CPLD 與 61LV256 SRAM 驱动 4.3 吋的 TFT,附 Verilog 語言範例.
  3. 所属分类:VHDL编程

    • 发布日期:2011-06-28
    • 文件大小:2896
    • 提供者:xyz543
  1. mcu-cpld-spi.mcu与cpld之间spi接口程序

    0下载:
  2. mcu与cpld之间spi接口程序,mcu为master,cpld用verilog写成slave模块,mcu with spi interface program between the CPLD, mcu for the master, cpld written using Verilog slave module
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2017-03-22
    • 文件大小:111249
    • 提供者:叶灿
  1. EPM240_SCH_and_program.rar

    0下载:
  2. EPM240 cpld 原理图+程序。 Verilog HDL语言。 程序有正弦波发生器,ADC0804直流采样和显示,汉字滚动,交通灯,键盘,显示程序,计数器等等。,Schematic diagram+ EPM240 cpld procedures. Sine wave generator procedures, ADC0804 DC sampling and showed that Chinese scroll, traffic lights, keyboard, display pro
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:660120
    • 提供者:student88
  1. infrared

    0下载:
  2. 使用verilog语言控制CPLD通过红外收发器进行红外通讯,其中simple.qpf为发送端的工程文件,recive文件夹中的recive.qpf是接收端工程文件-CPLD Verilog language to control the use of infrared transceiver through infrared communication, which simple.qpf for the sending end of the project file, recive folde
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-26
    • 文件大小:239150
    • 提供者:朱志豪
  1. traffic

    0下载:
  2. 模拟交通灯 verilog CPLD EPM1270 源代码-Simulation of traffic lights verilog CPLDEPM1270 source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:191315
    • 提供者:韩思贤
  1. ref-sdr-sdram-verilog

    0下载:
  2. 标准SRD SDRAM控制器参考设计,altera提供 Verilog代码,带有使用手册,大家试试交流一下 -Standard SRD SDRAM controller reference design, altera provide Verilog code, with user manual, we try to exchange some
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:776597
    • 提供者:费尔德
  1. i2c

    4下载:
  2. IIC 接口EEPROM 存取实验(verilog实现) 按动开发板键盘某个键 CPLD 将拨码开关的数据写入EEPROM 的某个地址,按动另 外一个键,将刚写入的数据读回CPLD,并在数码管上显示。帮助读者掌握I2C 的总线协 议和EEPROM 的读写方法。-verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-04-14
    • 文件大小:63412
    • 提供者:梁旺
  1. I2C-CPLD

    0下载:
  2. I2C总线通讯的CPLD实现,包括详细的设计方法及源程序。-I2C总线通讯的CPLD实现
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:270286
    • 提供者:nmh
  1. Pulse_Width_Modulator_Altera_MAX_II_CPLD_Design_Ex

    0下载:
  2. 来自于ALTERA官方网站。 本文档详细介绍怎样利用MAX® II CPLD 来实现脉冲宽度调制(PWM)。本设计还利用了MAX II CPLD 的内部用户闪存振荡器,不需要采用专门的外部时钟。 附有verilog源程序。-From ALTERA website. This document details how to use the MAX ® II CPLD to implement pulse width modulation (PWM). This design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:291381
    • 提供者:无小品
  1. sdram_mdl

    0下载:
  2. verilog编写的对SDRAM的控制的源代码,开发FPGA/CPLD-verilog SDRAM write control of the source code, development FPGA/CPLD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2286280
    • 提供者:luoqv
  1. HV513 CPLD driver

    1下载:
  2. HV513(supertex) CPLD driver example
  3. 所属分类:VHDL编程

  1. H bridge CPLD driver

    1下载:
  2. Verilog H bridge driver with a Enable control
  3. 所属分类:VHDL编程

  1. 从零开始学CPLD和VERILOG HDL

    0下载:
  2. 从零开始学CPLD和VERILOG HDL(Learn CPLD and VERILOG HDL from zero)
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2018-04-29
    • 文件大小:32979968
    • 提供者:Jerry20170718
« 12 3 4 5 6 7 8 9 10 »
搜珍网 www.dssz.com